🇹🇼 Передовые техпроцессы. 2нм и 16А. Тайвань
TSMC утверждает, что ее процесс 2нм уже пользуется большим интересом разработчиков, чем процесс 3нм
Отчитываясь об итогах квартала, гендиректор TSMC СиСи Вэй признал, что клиенты все активнее переходят на использование чиплетной технологии. В теории это должно было бы снизить спрос на производство крупных кристаллов по техпроцессу 2нм. Но даже с учетом этого тренда, говорит СиСи Вэй, интерес к чипам 2нм заметно превзошел ожидания TSMC и оказался выше, чем на изделия 3нм.
То же можно сказать и о техпроцессе TSMC A16 – разработчики активно им интересуются.
TSMC готовится к массовому производству чипов по техпроцессу 2нм в 2025 году.
@RUSmicro по материалам Overclockers
#2нм #16A
TSMC утверждает, что ее процесс 2нм уже пользуется большим интересом разработчиков, чем процесс 3нм
Отчитываясь об итогах квартала, гендиректор TSMC СиСи Вэй признал, что клиенты все активнее переходят на использование чиплетной технологии. В теории это должно было бы снизить спрос на производство крупных кристаллов по техпроцессу 2нм. Но даже с учетом этого тренда, говорит СиСи Вэй, интерес к чипам 2нм заметно превзошел ожидания TSMC и оказался выше, чем на изделия 3нм.
То же можно сказать и о техпроцессе TSMC A16 – разработчики активно им интересуются.
TSMC готовится к массовому производству чипов по техпроцессу 2нм в 2025 году.
@RUSmicro по материалам Overclockers
#2нм #16A
Overclockers.ru
Overclockers.ru: TSMC утверждает, что её 2-нм техпроцесс пользуется высоким спросом
Чиплеты не смогли ограничить интерес разработчиков к новым литографическим нормам.
🇯🇵 14A 2нм. Участники рынка. Япония
Rapidus планирует построить фаб с техпроцессом 1.4нм, если запуск массового производства по техпроцессу 2нм пройдет гладко
Японская Rapidus строит современное производство 2нм в Титосе, Хоккайдо, с планами начать массовый выпуск пластин в 2027 году. За этим процессом внимательно наблюдает правительство, в частности, 24 октября это предприятие посетил министр экономики, торговли и промышленности Японии, чтобы оценить возможности предоставить Rapidus дополнительную поддержку. По оценкам Rapidus вложения в проект требуют около 5 трлн иен, правительство Японии собирается оказать поддержку на сумму 0,92 трлн иен, дефицит финансирования составляет около 4 трлн иен. При таком дефиците заявления о планах нового строительства выглядят не очень серьезно.
Президент Rapidus Ацуёси Коике заявил, что строительство идет гладко и завершено на 80%. Он также сообщил, что идут переговоры более, чем с 40 потенциальными клиентами, в 2025 году можно ожидать сообщений о некоторых заключенных сделках.
В декабре 2024 года компания Rapidus начнет получать EUV-литографы ASML.
Ближе всех к производству по техпроцессу 2нм – тайваньская TSMC, здесь вовсю идет опытное производство с планами запуска массового производства в 2025 году. На год позднее надеется начать массовое производство чипов 2нм Samsung, Rapidus целится в 2027 год, а в Intel вроде бы решили пропустить этап 2нм, сосредоточив усилия сразу на 1.8нм.
Очевидно, что все основные производители будут стремиться как можно ранее внедрить самые совершенные техпроцессы, значимыми будут каждые 2 ангстрема.
Так, в TSMC уже отмечают интерес разработчиков к разрабатываемому техпроцессу 16А.
@RUSmicro по материалам TrendForce
#14A #2нм
Rapidus планирует построить фаб с техпроцессом 1.4нм, если запуск массового производства по техпроцессу 2нм пройдет гладко
Японская Rapidus строит современное производство 2нм в Титосе, Хоккайдо, с планами начать массовый выпуск пластин в 2027 году. За этим процессом внимательно наблюдает правительство, в частности, 24 октября это предприятие посетил министр экономики, торговли и промышленности Японии, чтобы оценить возможности предоставить Rapidus дополнительную поддержку. По оценкам Rapidus вложения в проект требуют около 5 трлн иен, правительство Японии собирается оказать поддержку на сумму 0,92 трлн иен, дефицит финансирования составляет около 4 трлн иен. При таком дефиците заявления о планах нового строительства выглядят не очень серьезно.
Президент Rapidus Ацуёси Коике заявил, что строительство идет гладко и завершено на 80%. Он также сообщил, что идут переговоры более, чем с 40 потенциальными клиентами, в 2025 году можно ожидать сообщений о некоторых заключенных сделках.
В декабре 2024 года компания Rapidus начнет получать EUV-литографы ASML.
Ближе всех к производству по техпроцессу 2нм – тайваньская TSMC, здесь вовсю идет опытное производство с планами запуска массового производства в 2025 году. На год позднее надеется начать массовое производство чипов 2нм Samsung, Rapidus целится в 2027 год, а в Intel вроде бы решили пропустить этап 2нм, сосредоточив усилия сразу на 1.8нм.
Очевидно, что все основные производители будут стремиться как можно ранее внедрить самые совершенные техпроцессы, значимыми будут каждые 2 ангстрема.
Так, в TSMC уже отмечают интерес разработчиков к разрабатываемому техпроцессу 16А.
@RUSmicro по материалам TrendForce
#14A #2нм
[News] Rapidus Plans Second Plant for 1.4nm Process if 2nm Mass Production Proceeds Smoothly | TrendForce News
Rapidus is building a factory in Chitose City, Hokkaido, aiming to mass-produce 2 nm wafers in 2027. According to a report from MoneyDJ, Japan’s Minis...
🇹🇼 Техпроцессы. 2нм. Тайвань
TSMC заявляет, что техпроцесс 2нм будет основан на серьезных улучшениях узлов GAA, что позволит нарастить плотность узлов в чипах SRAM
Узлы SRAM при переходе от техпроцесса 5нм TSMC к техпроцессу 3нм TSMC в значительной степени оставались прежними по площади (см. картинку), что не обеспечивало особого выигрыша от перехода к новому техпроцессу из-за того, что тот же объем памяти в новых чипах занимал ту же площадь, что и в прежних. Но с появлением техпроцесса TSMC N2 (2нм), похоже, появилась надежда на то, что новые узлы позволят нарастить плотность размещения ячеек в HD SRAM за счет сокращения размера ячейки примерно на 10%.
Современные конструкции CPU, GPU и SoC полагаются на большие кэши для улучшения способности обрабатывать большие наборы данных. Поэтому более высокий объем SRAM – это потенциал оптимизации производительности цифровых микросхем.
Учитывая спрос на более высокий объем SRAM на чипе, эти разработки TSMC весьма востребованы. Пока что мы знаем совсем немного, в декабре 2024 года на конференции IEDM TSMC опубликует доклад, в котором обещает представить результаты разработок по технологическому узлу 2нм HD SRAM с размером битовой ячейки примерно 0,0175 кв. мкм.
TSMC выпустит своей первый чип для смартфона на базе техпроцесса 3нм в 4q2024. Этот чип основан на архитектуре GAA, обеспечивающей более высокую производительность и эффективность.
@RUSmicro по материалам PassionatGeekz
#2нм #техпроцессы #HDSRAM
TSMC заявляет, что техпроцесс 2нм будет основан на серьезных улучшениях узлов GAA, что позволит нарастить плотность узлов в чипах SRAM
Узлы SRAM при переходе от техпроцесса 5нм TSMC к техпроцессу 3нм TSMC в значительной степени оставались прежними по площади (см. картинку), что не обеспечивало особого выигрыша от перехода к новому техпроцессу из-за того, что тот же объем памяти в новых чипах занимал ту же площадь, что и в прежних. Но с появлением техпроцесса TSMC N2 (2нм), похоже, появилась надежда на то, что новые узлы позволят нарастить плотность размещения ячеек в HD SRAM за счет сокращения размера ячейки примерно на 10%.
Современные конструкции CPU, GPU и SoC полагаются на большие кэши для улучшения способности обрабатывать большие наборы данных. Поэтому более высокий объем SRAM – это потенциал оптимизации производительности цифровых микросхем.
Учитывая спрос на более высокий объем SRAM на чипе, эти разработки TSMC весьма востребованы. Пока что мы знаем совсем немного, в декабре 2024 года на конференции IEDM TSMC опубликует доклад, в котором обещает представить результаты разработок по технологическому узлу 2нм HD SRAM с размером битовой ячейки примерно 0,0175 кв. мкм.
TSMC выпустит своей первый чип для смартфона на базе техпроцесса 3нм в 4q2024. Этот чип основан на архитектуре GAA, обеспечивающей более высокую производительность и эффективность.
@RUSmicro по материалам PassionatGeekz
#2нм #техпроцессы #HDSRAM
🇹🇼 2нм. Техпроцессы. Участники рынка. Тайвань
TSMC пока что не сможет производить чипы 2нм вне Тайваня
Об этом заявил министр экономики Тайваня, г-н Куо.
Тайваньская компания должна соблюдать правительственные «Правила защиты технологий Тайваня». В будущем компания TSMC получит право производства чипов по технологии 2нм в других странах, но лишь после того, как ее тайваньские фабрики запустят более совершенные техпроцессы. Минимальный разрыв должен составлять хотя бы 1 поколение.
Этим новым поколением будет техпроцесс 16A, массовое производство по которому как ожидается компания начнет в 2H2026.
TSMC планирует производить 2нм или более продвинутые чипы в США к концу десятилетия, когда начнет работать ее вторая фабрика в Аризоне, вероятно в 2028 году. Первая фабрика TSMC в Аризоне должна нарастить производство чипов 4нм в следующем месяце. Третья фабрика TSMC в Аризоне будет производить чипы с использованием 2нм или еще более продвинутых техпроцессов, но позднее.
@RUSmicro по материалам Taipei Times
#2нм
TSMC пока что не сможет производить чипы 2нм вне Тайваня
Об этом заявил министр экономики Тайваня, г-н Куо.
Тайваньская компания должна соблюдать правительственные «Правила защиты технологий Тайваня». В будущем компания TSMC получит право производства чипов по технологии 2нм в других странах, но лишь после того, как ее тайваньские фабрики запустят более совершенные техпроцессы. Минимальный разрыв должен составлять хотя бы 1 поколение.
Этим новым поколением будет техпроцесс 16A, массовое производство по которому как ожидается компания начнет в 2H2026.
TSMC планирует производить 2нм или более продвинутые чипы в США к концу десятилетия, когда начнет работать ее вторая фабрика в Аризоне, вероятно в 2028 году. Первая фабрика TSMC в Аризоне должна нарастить производство чипов 4нм в следующем месяце. Третья фабрика TSMC в Аризоне будет производить чипы с использованием 2нм или еще более продвинутых техпроцессов, но позднее.
@RUSmicro по материалам Taipei Times
#2нм
TAIPEI TIMES
TSMC cannot make 2nm chips abroad now: MOEA
Bringing Taiwan to the World and the World to Taiwan
🇹🇼 2нм. Производственные мощности. Тайвань
TSMC намеревается начать производство пластин 2нм до конца ноября 2024 года
Согласно последним новостям, производственная площадка P1 в Гаосюне для первого фаба TSMC по производству пластин 2нм близка к готовности. С 1 декабря должен начаться монтаж оборудования.
Производство TSMC 2нм будет организовано на фабе Баошан F20 в научном парке Hsinchu Science Park (HSP) и Гаосюн Nanzi F22.
Ожидается, что завод в Баошане запустит ограниченное производство еще до конца 2024 года с планами выхода на массовое производство к 4q2025 с ежемесячной производительностью около 30 тысяч пластин.
Коммерческое производство на заводе Гаосюн F22 начнется в 1q2026, также с планами производства 30 тысяч пластин.
Председатель и гендиректор TSMC C.C. Wei подчеркнул беспрецедентный спрос на техпроцесс 2нм. Текущая планируемая мощность для процесса 2нм уже превзошла доступную мощность производств 3нм, что подтверждает значительный рыночный спрос на передовые технологии.
Текущие расценки на пластину по техпроцессу 2нм - $30 тысяч.
@RUSmicro по материалам TrendForce
#2нм
TSMC намеревается начать производство пластин 2нм до конца ноября 2024 года
Согласно последним новостям, производственная площадка P1 в Гаосюне для первого фаба TSMC по производству пластин 2нм близка к готовности. С 1 декабря должен начаться монтаж оборудования.
Производство TSMC 2нм будет организовано на фабе Баошан F20 в научном парке Hsinchu Science Park (HSP) и Гаосюн Nanzi F22.
Ожидается, что завод в Баошане запустит ограниченное производство еще до конца 2024 года с планами выхода на массовое производство к 4q2025 с ежемесячной производительностью около 30 тысяч пластин.
Коммерческое производство на заводе Гаосюн F22 начнется в 1q2026, также с планами производства 30 тысяч пластин.
Председатель и гендиректор TSMC C.C. Wei подчеркнул беспрецедентный спрос на техпроцесс 2нм. Текущая планируемая мощность для процесса 2нм уже превзошла доступную мощность производств 3нм, что подтверждает значительный рыночный спрос на передовые технологии.
Текущие расценки на пластину по техпроцессу 2нм - $30 тысяч.
@RUSmicro по материалам TrendForce
#2нм
[News] Latest Progress on Three Semiconductor Fabs | TrendForce News
Leading foundries have been making significant moves, reshaping the semiconductor industry landscape. Vanguard International Semiconductor Corporation...
🇹🇼 2нм. Участники рынка. Тайвань
Тайваньская Alchip сообщила о выпуске тестового чипа 2нм
Тестовый чип на базе узлов GAA 2нм оснащен высокоскоростной SRAM, Lite I/O и встроенной системой обеспечения оптимальной производительности. Он также включает в себя мониторы производительности кремния для анализа в реальном времени. В чипе реализованы общие и раздельные домены питания, что позволяет при необходимости использовать его и в варианте 3D чиплетных архитектур.
Тестовый чип позволит компании сформировать подходы и методологию проектирования на основе узлов GAA и нанолистовых структур. Он также позволит собрать данных о мощности потребления, производительности и площади устройств (PPA), созданных с использованием техпроцесса 2нм.
Компания Alchip Technologies занимается разработкой и выпуском высокопроизводительных чипов ASIC. На техпроцессе 2нм в компании не остановятся, в ее планах – подготовка к переходу на A16.
Хотя тестовый чип 2нм выпущен по технологии монолитного дизайна, компания в будущем может задействовать и чиплетный подход 3D-SoIC-X.
В источниках нет упоминаний о фабрике, которая обеспечила tape-out чипа 2нм Alchip Technologies, но нет сомнений, что это TSMC.
@RUSmicro по материалам Alchip Technologies
#2нм
Тайваньская Alchip сообщила о выпуске тестового чипа 2нм
Тестовый чип на базе узлов GAA 2нм оснащен высокоскоростной SRAM, Lite I/O и встроенной системой обеспечения оптимальной производительности. Он также включает в себя мониторы производительности кремния для анализа в реальном времени. В чипе реализованы общие и раздельные домены питания, что позволяет при необходимости использовать его и в варианте 3D чиплетных архитектур.
Тестовый чип позволит компании сформировать подходы и методологию проектирования на основе узлов GAA и нанолистовых структур. Он также позволит собрать данных о мощности потребления, производительности и площади устройств (PPA), созданных с использованием техпроцесса 2нм.
Компания Alchip Technologies занимается разработкой и выпуском высокопроизводительных чипов ASIC. На техпроцессе 2нм в компании не остановятся, в ее планах – подготовка к переходу на A16.
Хотя тестовый чип 2нм выпущен по технологии монолитного дизайна, компания в будущем может задействовать и чиплетный подход 3D-SoIC-X.
В источниках нет упоминаний о фабрике, которая обеспечила tape-out чипа 2нм Alchip Technologies, но нет сомнений, что это TSMC.
@RUSmicro по материалам Alchip Technologies
#2нм
🇹🇼 2нм. Тренды. Участники рынка. Тайвань
Intel, AMD, Apple, Nvidia и MediaTek замечены с планами использования техпроцесса 2нм TSMC, но не Qualcomm
На это обратили внимание в NotebookCheck. К использованию передового узла TSMC 2нм присматривается целый ряд крупных клиентов – AMD, Apple, Intel, Nvidia, MediaTek, Broadcom и Bitman. Чипы, выпущенные на базе такого узла, будут использоваться в различных устройствах, от смартфонов до ASIC, используемых в майнинге крипты.
С появлением Snapdragon 8 Elite, MediaTek Dimensity 9400 и Apple A18 Pro на рынке появилось много чипов с узлами 3нм. Как только узел будет сочтен зрелым, за ним последуют и другие, которые найдут применение в CPU, GPU и в других изделиях. С другой стороны, узел TSMC 2нм (N2), как ожидается найдет применение в еще большем спектре устройств, как свидетельствуют данные Trendforce, которыми поделился информатор @Jukanlosreve. N2 – первый узел TSMC, в котором реализована конструкция gate-all-around, которую в TSMC называют Nanosheets.
🇺🇸 Apple
Неудивительно, что Apple станет одной из первых компаний, которые воспользуются технологией N2 от TSMC. Первые кристаллы начнут выходить (tapeout) в декабре 2024 года и затем будет использоваться для Apple M5 и Apple A20 Pro. Первый поступит в массовое производство в конце 2025 года, а второго придется подождать до 2q2026. Это соответствует более раннему отчету, в котором говорилось, что iPad на базе Apple M5 планируется выпустить в конце 2025 года. Кроме того, подтверждаются более ранние сообщения о планах использования узла N2 в чипах A20 Pro, которые как ожидается пойдут в айфоны 18-й серии в 2026 году.
🇺🇸 AMD
Исторически AMD отставала на один узел от передовых технологий. Это может измениться с момента появления и начала использования AMD узла TSMC N2. Предположительно, этот узел будет использоваться для производства линейки процессоров AMD Zen 6 и ускорителей ИИ CDNA 5 M1400. Zen 5 вышел всего несколько месяцев назад, поэтому Zen 6 вряд ли увидит свет до 2026 года. Более ранние слухи предполагали, что в Zen 6 будут использованы микс плиток (tiles) 2нм и 3нм, подобно тому, как Intel сделала в Meteor Lake. Если это правда, то AMD может снизить затраты на свои чипы, используя N2 только для CCD, а остальные детали выпуская на более зрелых узлах.
🇺🇸 Intel
После успешного использования TSMC N3B в CPU Lunar Lake, Intel намерена продолжать сотрудничество с TSMC. Это, в частности, распространится на линейку настольных чипов Nova Lake, которая планируется к выходу в 2026 году. Тем не менее, есть вероятность того, что Intel может перейти на собственный внутренний узел 14A уже в Nova Lake. Пока об этом говорить рано, лучше дождаться середины 2025 года.
🇺🇸 Nvidia
Заказы Nvidia на TSMC N2 сосредоточены на Rubin next, преемника платформы Rubin, анонсированной на Computex 2024. Эти чипы не планируется выпускать до 2026 года, причем массовое их производства, - до 2027 года. Есть большая вероятность того, что преемник серии Blackwell от Nvidia (RTX 6000) будет основан на какой-то производной версии N3.
Другие заметные имена в списке включают Broadcom и Bitman. Обе компании собираются использовать чипы с узлами TSMC N2 в микросхемах ASIC. Есть в списке и MediaTek, ее чип с узлами 2нм в середине 2025 года, в продаже чип появится в 2025 году. Скорее всего, это будет Dimensity 9600 (предварительно).
🇺🇸 Удивительно, но основной конкурент этой компании – Qualcomm в список не попал. Это ставит под сомнение будущее преемников Snapdragon X Elite и 8 Elite, что еще больше подтверждает слухи о том, что этот американский производитель собрался вновь перейти на фаб Samsung Foundry.
@RUSmicro
#2нм
Intel, AMD, Apple, Nvidia и MediaTek замечены с планами использования техпроцесса 2нм TSMC, но не Qualcomm
На это обратили внимание в NotebookCheck. К использованию передового узла TSMC 2нм присматривается целый ряд крупных клиентов – AMD, Apple, Intel, Nvidia, MediaTek, Broadcom и Bitman. Чипы, выпущенные на базе такого узла, будут использоваться в различных устройствах, от смартфонов до ASIC, используемых в майнинге крипты.
С появлением Snapdragon 8 Elite, MediaTek Dimensity 9400 и Apple A18 Pro на рынке появилось много чипов с узлами 3нм. Как только узел будет сочтен зрелым, за ним последуют и другие, которые найдут применение в CPU, GPU и в других изделиях. С другой стороны, узел TSMC 2нм (N2), как ожидается найдет применение в еще большем спектре устройств, как свидетельствуют данные Trendforce, которыми поделился информатор @Jukanlosreve. N2 – первый узел TSMC, в котором реализована конструкция gate-all-around, которую в TSMC называют Nanosheets.
🇺🇸 Apple
Неудивительно, что Apple станет одной из первых компаний, которые воспользуются технологией N2 от TSMC. Первые кристаллы начнут выходить (tapeout) в декабре 2024 года и затем будет использоваться для Apple M5 и Apple A20 Pro. Первый поступит в массовое производство в конце 2025 года, а второго придется подождать до 2q2026. Это соответствует более раннему отчету, в котором говорилось, что iPad на базе Apple M5 планируется выпустить в конце 2025 года. Кроме того, подтверждаются более ранние сообщения о планах использования узла N2 в чипах A20 Pro, которые как ожидается пойдут в айфоны 18-й серии в 2026 году.
🇺🇸 AMD
Исторически AMD отставала на один узел от передовых технологий. Это может измениться с момента появления и начала использования AMD узла TSMC N2. Предположительно, этот узел будет использоваться для производства линейки процессоров AMD Zen 6 и ускорителей ИИ CDNA 5 M1400. Zen 5 вышел всего несколько месяцев назад, поэтому Zen 6 вряд ли увидит свет до 2026 года. Более ранние слухи предполагали, что в Zen 6 будут использованы микс плиток (tiles) 2нм и 3нм, подобно тому, как Intel сделала в Meteor Lake. Если это правда, то AMD может снизить затраты на свои чипы, используя N2 только для CCD, а остальные детали выпуская на более зрелых узлах.
🇺🇸 Intel
После успешного использования TSMC N3B в CPU Lunar Lake, Intel намерена продолжать сотрудничество с TSMC. Это, в частности, распространится на линейку настольных чипов Nova Lake, которая планируется к выходу в 2026 году. Тем не менее, есть вероятность того, что Intel может перейти на собственный внутренний узел 14A уже в Nova Lake. Пока об этом говорить рано, лучше дождаться середины 2025 года.
🇺🇸 Nvidia
Заказы Nvidia на TSMC N2 сосредоточены на Rubin next, преемника платформы Rubin, анонсированной на Computex 2024. Эти чипы не планируется выпускать до 2026 года, причем массовое их производства, - до 2027 года. Есть большая вероятность того, что преемник серии Blackwell от Nvidia (RTX 6000) будет основан на какой-то производной версии N3.
Другие заметные имена в списке включают Broadcom и Bitman. Обе компании собираются использовать чипы с узлами TSMC N2 в микросхемах ASIC. Есть в списке и MediaTek, ее чип с узлами 2нм в середине 2025 года, в продаже чип появится в 2025 году. Скорее всего, это будет Dimensity 9600 (предварительно).
🇺🇸 Удивительно, но основной конкурент этой компании – Qualcomm в список не попал. Это ставит под сомнение будущее преемников Snapdragon X Elite и 8 Elite, что еще больше подтверждает слухи о том, что этот американский производитель собрался вновь перейти на фаб Samsung Foundry.
@RUSmicro
#2нм
Notebookcheck
Intel, AMD, Apple, Nvidia, and MediaTek tipped to use TSMC's cutting-edge 2 nm node; Qualcomm notably absent
TSMC's upcoming 2 nm node has plenty of high-profile customers such as AMD, Apple, Intel, Nvidia, MediaTek, Broadcom and Bitmain. The chips will be used in a wide array of devices, ranging from smartphones to cryptocurrency mining ASICs.
🇹🇼 Производство структур. Техпроцесс 2нм. Тайвань
TSMC успешно выпустила тестовую партию кристаллов по техпроцессу 2нм N2 с 60% выходом годных
В компании говорят о результате, «лучше, чем ожидалось». Достигнут этот высокий результат на фабе Baoshan F20 в научном парке Hsinchu Science Park (HSP). Дальнейшее массовое производство по техпроцессу 2нм намечается также производство на фабе в Гаосюне (Kaohsiung Nanzi F22).
Первыми производителями, которые получат возможность выпускать свои микросхемы по технологии 2нм N2, как ожидается, станут Apple и Nvidia.
Интригой остается, в какой модели iPhone появится чип 2нм. Сейчас многие ожидают, что это произойдет в 2026 году, когда выйдет iPhone 18 Pro. Ранее на чипе 2нм может выйти планшет iPad Pro – в конце 2025 года.
Уровень в 60% не является оптимальным для массового производства, для этого желательно достичь уровня выхода годных хотя бы в 70% или выше. Но при желании, а оно есть у заказчиков TSMC, можно начать работать и с 60%. Тем более, что у конкурента, основным из которых на сегодня является Samsung, по слухам, процент выхода годных пока что остается на уровне не выше 20%.
Не исключено, что переход на использование чипов 2нм вызовет ощутимый подъем расценок на продукцию Nvidia и TSMC. К этому может привести ожидаемый рост расценок на каждую пластину, произведенную по техпроцессу N2 примерно в 2 раза относительно пластин по техпроцессу 4нм или 5нм. В деньгах, это означает рост до $30 тысяч за пластину.
Снимать сливки в позиции монополиста TSMC сможет не так уж долго, в 2027 году ожидается выход на рынок 2нм японской Rapidus, а Intel и вовсе целится в 18A.
@RUSmicro
#2нм #выходгодных
TSMC успешно выпустила тестовую партию кристаллов по техпроцессу 2нм N2 с 60% выходом годных
В компании говорят о результате, «лучше, чем ожидалось». Достигнут этот высокий результат на фабе Baoshan F20 в научном парке Hsinchu Science Park (HSP). Дальнейшее массовое производство по техпроцессу 2нм намечается также производство на фабе в Гаосюне (Kaohsiung Nanzi F22).
Первыми производителями, которые получат возможность выпускать свои микросхемы по технологии 2нм N2, как ожидается, станут Apple и Nvidia.
Интригой остается, в какой модели iPhone появится чип 2нм. Сейчас многие ожидают, что это произойдет в 2026 году, когда выйдет iPhone 18 Pro. Ранее на чипе 2нм может выйти планшет iPad Pro – в конце 2025 года.
Уровень в 60% не является оптимальным для массового производства, для этого желательно достичь уровня выхода годных хотя бы в 70% или выше. Но при желании, а оно есть у заказчиков TSMC, можно начать работать и с 60%. Тем более, что у конкурента, основным из которых на сегодня является Samsung, по слухам, процент выхода годных пока что остается на уровне не выше 20%.
Не исключено, что переход на использование чипов 2нм вызовет ощутимый подъем расценок на продукцию Nvidia и TSMC. К этому может привести ожидаемый рост расценок на каждую пластину, произведенную по техпроцессу N2 примерно в 2 раза относительно пластин по техпроцессу 4нм или 5нм. В деньгах, это означает рост до $30 тысяч за пластину.
Снимать сливки в позиции монополиста TSMC сможет не так уж долго, в 2027 году ожидается выход на рынок 2нм японской Rapidus, а Intel и вовсе целится в 18A.
@RUSmicro
#2нм #выходгодных
🇯🇵 САПР микроэлектроники. Партнерства. 2нм. Япония. США
Rapidus работает с Cadence над решениями EDA для технологии 2нм GAA
Японский контрактный производитель микроэлектроники Rapidus объявил о сотрудничестве с Cadense Design Systems с тем, чтобы предоставлять совместно оптимизированные, созданные с помощью ИИ эталонные потоки проектирования и IP.
Сотрудничество будет поддерживать процесс Rapidus 2нм GAA, клиенты смогут воспользоваться технологией BSPDN (backside power delivery network – сеть подачи питания снизу) в разработке своих чипов.
В сегменте высокопроизводительных вычислений и ИИ-вычислений, технологии GAA и BSPDN считаются жизненно важными для удовлетворения растущих требований к энергопотреблению, производительности и площади кристалла.
Клиентам Rapidus будет предоставлен доступ к обширному портфелю интерфейсных и IP-компонентов памяти, которыми располагает Cadence, включая HBM4, 224G SerDec, PCI Express 7.0 и другим. Кроме того, они смогут воспользоваться преимуществами решений по проектированию и производству 2нм GAA и BSPDN, которые поддерживают концепцию Rapidus Design for Manufacturing and Co-Optimisation (DMCO).
В сообщении не говорится о сроках. Но мы знаем, что японская Rapidus строит современное производство 2нм в Титосе, Хоккайдо, с планами начать массовый выпуск пластин в 2027 году. Так что пока что взаимодействие с Rapidus в области 2нм GAA может осуществляться лишь на самой ранней стадии.
@RUSmicro по материалам New Electronics
#EDA #САПР #2нм #GAA
Rapidus работает с Cadence над решениями EDA для технологии 2нм GAA
Японский контрактный производитель микроэлектроники Rapidus объявил о сотрудничестве с Cadense Design Systems с тем, чтобы предоставлять совместно оптимизированные, созданные с помощью ИИ эталонные потоки проектирования и IP.
Сотрудничество будет поддерживать процесс Rapidus 2нм GAA, клиенты смогут воспользоваться технологией BSPDN (backside power delivery network – сеть подачи питания снизу) в разработке своих чипов.
В сегменте высокопроизводительных вычислений и ИИ-вычислений, технологии GAA и BSPDN считаются жизненно важными для удовлетворения растущих требований к энергопотреблению, производительности и площади кристалла.
Клиентам Rapidus будет предоставлен доступ к обширному портфелю интерфейсных и IP-компонентов памяти, которыми располагает Cadence, включая HBM4, 224G SerDec, PCI Express 7.0 и другим. Кроме того, они смогут воспользоваться преимуществами решений по проектированию и производству 2нм GAA и BSPDN, которые поддерживают концепцию Rapidus Design for Manufacturing and Co-Optimisation (DMCO).
В сообщении не говорится о сроках. Но мы знаем, что японская Rapidus строит современное производство 2нм в Титосе, Хоккайдо, с планами начать массовый выпуск пластин в 2027 году. Так что пока что взаимодействие с Rapidus в области 2нм GAA может осуществляться лишь на самой ранней стадии.
@RUSmicro по материалам New Electronics
#EDA #САПР #2нм #GAA
New Electronics
Rapidus working with Cadence on 2nm semiconductor solutions
Rapidus has announced a collaboration with Cadence to provide co-optimised AI-driven reference design flows and IP.
🇯🇵 🇺🇸 Процессоры ЦОД. 2нм. Передовая упаковка. Япония. США
Fujitsu с поддержкой Broadcom разрабатывают серверный процессор Armv9 с использованием техпроцессов 2нм + 5нм и упаковки 3.5D eXtreme Dimension SiP
Fujitsu показала раскладку своего будущего 144-ядерного процессора Monaka. Он основан на Armv9 и предназначен для использования в решениях для ЦОД.
Компания разрабатывает его совместно с Broadcom, опираясь на передовую упаковку этой компании 3.5D XDSiP (3.5D eXtreme Dimension System in Package).
Monaka это большая система в упаковке (SiP – system in package) CoWoS, в состав которой входят 4 * 36-ядерных вычислительных чиплета, изготовленных по технологии TSMC N2 (2нм), то есть 144 ядра Armv9 с усовершенствованиями. Эти процессоры уложены поверх плиток SRAM методом F2F (лицом к лицу) с использованием гибридного медного соединения (HCB).
Плитки SRAM, играющие роль огромных кэшей, производятся по технологии TSMC N5. Конструкцию дополняет большой кристалл ввода-вывода, который интегрирует контроллер памяти, линии PCIe 6.0 с CXL 3.0 для подключения ускорителей и расширителей, а также другие интерфейсы, которые могут быть востребованы в ситуации с CPU уровня ЦОД.
Стоит отметить, что Monaka не стала использовать высокодефицитную память HBM, а применит массовую DDR5 DRAM, возможно в своих реализациях MR-DIMM и MCR-DIMM, что положительно скажется на емкости памяти и позволит не задрать в космос стоимость процессор.
Ядра процессора построены на наборе инструкций Armv9-A, они включают масштабируемые векторные расширения 2 (SVE2). Fujitsu не указала фиксированную длину вектора для конструкции, она может варьироваться от 128 до 2048 бит. Учитывая, что A64FX поддерживает векторы до 512 бит, процессор Monaka, вероятно, будет поддерживать векторы аналогичного или большего размера. Впрочем, это пока лишь предположения.
Процессор будет включать расширенные функции безопасности, включая архитектуру конфиденциальных вычислений Armv9-A (CCA), предлагающую улучшенную изоляцию рабочей нагрузки и надежную защиту.
Monaka будет конкурировать с процессорами AMD EPYC и Intel Xeon, поэтому ему придется чем-то выделиться на фоне этих раскрученных конкурентов. Возможно, речь будет идти об энергоэффективности. Например, если с охлаждением этого чипа справится воздушное охлаждение, это будет большим плюсом. И поскольку речь идет об Arm, можно надеяться, что энергоэффективность этой SiP действительно может оказаться заметно выше, чем у процессоров x86.
Это ранний анонс, доступность процессора ожидается в 2027 ф. году, который у компании начнется 1 апреля 2026 года и закончится 31 марта 2027 года.
Broadcom в последнее время чаще мелькает в новостях о разработках передовых чипов для различных брендов, вспомнить хотя бы о разработках ИИ-чипов и чипов 5G для и совместно с Apple. Похоже, здесь на сегодня собрана мощная команда разработчиков. Да и идея упаковки 3.5D XDSiP явно "зашла" рынку.
@RUSmicro по материалам MSN
#чиплеты #Armv9 #ЦОДпроцессоры #F2F #HCB #2нм #упаковка
Fujitsu с поддержкой Broadcom разрабатывают серверный процессор Armv9 с использованием техпроцессов 2нм + 5нм и упаковки 3.5D eXtreme Dimension SiP
Fujitsu показала раскладку своего будущего 144-ядерного процессора Monaka. Он основан на Armv9 и предназначен для использования в решениях для ЦОД.
Компания разрабатывает его совместно с Broadcom, опираясь на передовую упаковку этой компании 3.5D XDSiP (3.5D eXtreme Dimension System in Package).
Monaka это большая система в упаковке (SiP – system in package) CoWoS, в состав которой входят 4 * 36-ядерных вычислительных чиплета, изготовленных по технологии TSMC N2 (2нм), то есть 144 ядра Armv9 с усовершенствованиями. Эти процессоры уложены поверх плиток SRAM методом F2F (лицом к лицу) с использованием гибридного медного соединения (HCB).
Плитки SRAM, играющие роль огромных кэшей, производятся по технологии TSMC N5. Конструкцию дополняет большой кристалл ввода-вывода, который интегрирует контроллер памяти, линии PCIe 6.0 с CXL 3.0 для подключения ускорителей и расширителей, а также другие интерфейсы, которые могут быть востребованы в ситуации с CPU уровня ЦОД.
Стоит отметить, что Monaka не стала использовать высокодефицитную память HBM, а применит массовую DDR5 DRAM, возможно в своих реализациях MR-DIMM и MCR-DIMM, что положительно скажется на емкости памяти и позволит не задрать в космос стоимость процессор.
Ядра процессора построены на наборе инструкций Armv9-A, они включают масштабируемые векторные расширения 2 (SVE2). Fujitsu не указала фиксированную длину вектора для конструкции, она может варьироваться от 128 до 2048 бит. Учитывая, что A64FX поддерживает векторы до 512 бит, процессор Monaka, вероятно, будет поддерживать векторы аналогичного или большего размера. Впрочем, это пока лишь предположения.
Процессор будет включать расширенные функции безопасности, включая архитектуру конфиденциальных вычислений Armv9-A (CCA), предлагающую улучшенную изоляцию рабочей нагрузки и надежную защиту.
Monaka будет конкурировать с процессорами AMD EPYC и Intel Xeon, поэтому ему придется чем-то выделиться на фоне этих раскрученных конкурентов. Возможно, речь будет идти об энергоэффективности. Например, если с охлаждением этого чипа справится воздушное охлаждение, это будет большим плюсом. И поскольку речь идет об Arm, можно надеяться, что энергоэффективность этой SiP действительно может оказаться заметно выше, чем у процессоров x86.
Это ранний анонс, доступность процессора ожидается в 2027 ф. году, который у компании начнется 1 апреля 2026 года и закончится 31 марта 2027 года.
Broadcom в последнее время чаще мелькает в новостях о разработках передовых чипов для различных брендов, вспомнить хотя бы о разработках ИИ-чипов и чипов 5G для и совместно с Apple. Похоже, здесь на сегодня собрана мощная команда разработчиков. Да и идея упаковки 3.5D XDSiP явно "зашла" рынку.
@RUSmicro по материалам MSN
#чиплеты #Armv9 #ЦОДпроцессоры #F2F #HCB #2нм #упаковка
🇯🇵 Технологии. Производство. 2нм. Япония
Rapidus получила первый EUV фотолитограф ASML NXE:3800E для завода IIM-1 2нм
Японская Rapidus, планирующая производство чипов 2нм, объявила о получении фотолитографа для своего фаба Innovative Integration for Manufacturing, строящегося на Хоккайдо. Это первый из литографов, компания закупит несколько таких машин, точное их число она не раскрывает.
Каждая машина весит 71 тонну, что превращает операцию по поставке каждого экземпляра оборудования в сложную логистическую задачу.
Объект IIM строит компания Kajima, чистые помещения – Takasago Thermal Engineering.
Компания заявляет, что процесс подготовки к массовому производству по техпроцессу 2нм идет по графику. В частности, Rapidus направляла 150 технических специалистов в центр IBM Albany NanoTech для проведения НИОКР и некоторые сотрудники уже вернулись на Хоккайдо после обучения. Когда в апреле 2025 года начнет работать опытная производственная линия, на фабе будет уже от 300 до 400 сотрудников.
Ожидается, что TSMC запустит массовое производство чипов по технологии 2нм раньше, чем Rapidus, но японцы уверены, что за ними – ряд преимуществ в производственных процессах, что позволит им наверстать упущенное, достигнув высокого уровня выхода годных.
@RUSmicro по материалам DigiTimes Asia
#2нм
Rapidus получила первый EUV фотолитограф ASML NXE:3800E для завода IIM-1 2нм
Японская Rapidus, планирующая производство чипов 2нм, объявила о получении фотолитографа для своего фаба Innovative Integration for Manufacturing, строящегося на Хоккайдо. Это первый из литографов, компания закупит несколько таких машин, точное их число она не раскрывает.
Каждая машина весит 71 тонну, что превращает операцию по поставке каждого экземпляра оборудования в сложную логистическую задачу.
Объект IIM строит компания Kajima, чистые помещения – Takasago Thermal Engineering.
Компания заявляет, что процесс подготовки к массовому производству по техпроцессу 2нм идет по графику. В частности, Rapidus направляла 150 технических специалистов в центр IBM Albany NanoTech для проведения НИОКР и некоторые сотрудники уже вернулись на Хоккайдо после обучения. Когда в апреле 2025 года начнет работать опытная производственная линия, на фабе будет уже от 300 до 400 сотрудников.
Ожидается, что TSMC запустит массовое производство чипов по технологии 2нм раньше, чем Rapidus, но японцы уверены, что за ними – ряд преимуществ в производственных процессах, что позволит им наверстать упущенное, достигнув высокого уровня выхода годных.
@RUSmicro по материалам DigiTimes Asia
#2нм
DIGITIMES
Rapidus begins installation of EUV equipment, aiming for multiple units
Rapidus, a Japanese company targeting the mass production of 2nm chips, has announced the delivery of Japan's first extreme ultraviolet (EUV) lithography machine, the NXE:3800E, which will be installed at its Innovative Integration for Manufacturing (IIM…
🇺🇸 2нм. Техпроцессы
Apple не устраивает 60% уровень выхода годных техпроцесса N2 TSMC?
Американская компания может отложить внедрение микросхем по техпроцессу 2нм TSMС в iPhone 17. О достижении уровня 60% выхода годных TSMC гордо заявила в начале декабря 2024 – раньше, чем планировалось. Но в Apple, похоже, результатом не удовлетворены. Сказывается не только «недостаточный» уровень годных, но и текущая стоимость в $30 тысяч за пластину. Как ожидается, цена еще пойдет вниз, когда производство по новому техпроцессу запустят на фабе Kaohsiung Nanzi F22.
Если Apple действительно не будет спешить с размещением заказов на продукты по техпроцессу N2, TSMC может лишиться части необходимых компании «сверхдоходов» в короткий период технологического отрыва от конкурентов. В дальнейшем цены неминуемо снизятся, когда чипы 2нм начнут предлагать также Intel, Samsung и Rapidus.
Впрочем, далеко не все ожидали появления чипа 2нм в iPhone 17, скорее всего, в конце 2025 года на этом чипе выйдет iPad Pro, а в 2026 году – iPhone 18 Pro.
К этому времени цена за пластину с структурами 2нм должна заметно упасть. Сейчас TSMC производит всего 10 тысяч таких пластин в месяц на фабе Baoshan F20, к 2025 году, как ожидается, объемы производства вырастут до 50 тысяч, к 2026 году – до 80 тысяч.
В этой связи можно вспомнить спекуляции о том, что на оборудовании ASML DUV китайские производители могут выпускать изделия по техпроцессу 5нм. Может быть, и могут, но о 60-70% выхода годных в этом случае, думаю, следует забыть. Стоимость изделий, выпущенных по техпроцессу с низким выходом годных, может оказаться экономически неприемлемой для массового производства.
@RUSmicro
#2нм
Apple не устраивает 60% уровень выхода годных техпроцесса N2 TSMC?
Американская компания может отложить внедрение микросхем по техпроцессу 2нм TSMС в iPhone 17. О достижении уровня 60% выхода годных TSMC гордо заявила в начале декабря 2024 – раньше, чем планировалось. Но в Apple, похоже, результатом не удовлетворены. Сказывается не только «недостаточный» уровень годных, но и текущая стоимость в $30 тысяч за пластину. Как ожидается, цена еще пойдет вниз, когда производство по новому техпроцессу запустят на фабе Kaohsiung Nanzi F22.
Если Apple действительно не будет спешить с размещением заказов на продукты по техпроцессу N2, TSMC может лишиться части необходимых компании «сверхдоходов» в короткий период технологического отрыва от конкурентов. В дальнейшем цены неминуемо снизятся, когда чипы 2нм начнут предлагать также Intel, Samsung и Rapidus.
Впрочем, далеко не все ожидали появления чипа 2нм в iPhone 17, скорее всего, в конце 2025 года на этом чипе выйдет iPad Pro, а в 2026 году – iPhone 18 Pro.
К этому времени цена за пластину с структурами 2нм должна заметно упасть. Сейчас TSMC производит всего 10 тысяч таких пластин в месяц на фабе Baoshan F20, к 2025 году, как ожидается, объемы производства вырастут до 50 тысяч, к 2026 году – до 80 тысяч.
В этой связи можно вспомнить спекуляции о том, что на оборудовании ASML DUV китайские производители могут выпускать изделия по техпроцессу 5нм. Может быть, и могут, но о 60-70% выхода годных в этом случае, думаю, следует забыть. Стоимость изделий, выпущенных по техпроцессу с низким выходом годных, может оказаться экономически неприемлемой для массового производства.
@RUSmicro
#2нм
🇯🇵 2нм. Фотошаблоны. Япония
Японская DNP успешно подготовила шаблон фотомаски для техпроцесса 2нм и менее
А также подготовила образцы для партнеров фотомаски, подходящей для фотолитографов EUV ASML High NA.
DNP Dainippon Printing завершила разработку фотомасок под процессы 3нм еще в 2023 году. Фотомаски для процесса 2нм и менее, должны быть не только на 20% меньше по размеру линейного шаблона, чем продукты поколения 3нм, но от них требуется поддержка правильной пропорции предискажения изогнутых паттернов. Похоже, что компания с этим справилась.
В DNP планируют выйти на массовое производство фотошаблонов 2нм в 2027 ф. году (он начинается для компании в апреле 2027 года).
Принимая во внимание наличие кооперации между DNP и Rapidus, можно ожидать, что опытные образцы шаблонов появятся прежде всего на опытной производственной линии Rapidus 2нм, запуск которой запланирован на апрель 2025 года.
@RUSmicro по материалам IThome
#2нм #фотошаблоны
Японская DNP успешно подготовила шаблон фотомаски для техпроцесса 2нм и менее
А также подготовила образцы для партнеров фотомаски, подходящей для фотолитографов EUV ASML High NA.
DNP Dainippon Printing завершила разработку фотомасок под процессы 3нм еще в 2023 году. Фотомаски для процесса 2нм и менее, должны быть не только на 20% меньше по размеру линейного шаблона, чем продукты поколения 3нм, но от них требуется поддержка правильной пропорции предискажения изогнутых паттернов. Похоже, что компания с этим справилась.
В DNP планируют выйти на массовое производство фотошаблонов 2нм в 2027 ф. году (он начинается для компании в апреле 2027 года).
Принимая во внимание наличие кооперации между DNP и Rapidus, можно ожидать, что опытные образцы шаблонов появятся прежде всего на опытной производственной линии Rapidus 2нм, запуск которой запланирован на апрель 2025 года.
@RUSmicro по материалам IThome
#2нм #фотошаблоны
🇹🇼 Техпроцессы. 2нм. Тайвань
TSMC начала опытное производство по техпроцессу N2 с планами выйти на производство 120-130 тысяч пластин 2нм к концу 2026 года
Речь идет о малосерийной опытной производственной линии на фабрике Hsinchu Baoshan (Fab 20) в 1q2025, ежемесячная производственная мощность может достичь 3000 – 3500 пластин в месяц.
Кроме того, как ожидается, фабрика в Гаосюне (Fab 22) выйдет на ежемесячную производственную мощность от 25 до 30 тысяч к концу 2025 года, а также нарастит выпуск до 60-65 тысяч пластин к концу 2026 года или началу 2027 года.
Суммарно ожидается, что производственная мощность TSMC по процессу 2нм превысит 50 тыс пластин еще до конца 2025 года и достигнет 120-130 тысяч штук к концу 2026 года.
Ожидается, что первым заказчиком станет Apple, которая будет упаковывать чипы по многочиповой технологии WMCM. Другими потребителями станут MediaTek, Qualcomm, Intel, Nvidia, AMD, Broadcom.
@RUSmicro по материалам Passionategeekz
#2нм #техпроцессы #производство #передовые
TSMC начала опытное производство по техпроцессу N2 с планами выйти на производство 120-130 тысяч пластин 2нм к концу 2026 года
Речь идет о малосерийной опытной производственной линии на фабрике Hsinchu Baoshan (Fab 20) в 1q2025, ежемесячная производственная мощность может достичь 3000 – 3500 пластин в месяц.
Кроме того, как ожидается, фабрика в Гаосюне (Fab 22) выйдет на ежемесячную производственную мощность от 25 до 30 тысяч к концу 2025 года, а также нарастит выпуск до 60-65 тысяч пластин к концу 2026 года или началу 2027 года.
Суммарно ожидается, что производственная мощность TSMC по процессу 2нм превысит 50 тыс пластин еще до конца 2025 года и достигнет 120-130 тысяч штук к концу 2026 года.
Ожидается, что первым заказчиком станет Apple, которая будет упаковывать чипы по многочиповой технологии WMCM. Другими потребителями станут MediaTek, Qualcomm, Intel, Nvidia, AMD, Broadcom.
@RUSmicro по материалам Passionategeekz
#2нм #техпроцессы #производство #передовые
Passionategeekz.com
It is reported that TSMC has started trial production of N2 process, and the monthly production capacity is expected to reach 120…
According to Passionategeekz news on January 1, MoneyDJ published a blog post on December 30, reporting that TSMC’s 2-nanometer (N2)
⚔️ Техпроцессы. 2нм. Тренды
Nvidia и Qualcomm поглядывают на Samsung с его техпроцессом 2нм
Еще совсем недавно ожидалось, что Apple станет первой компанией, которая выпустит процессоры с использованием техпроцесса 2нм. Но, как мы с вами знаем, компания откладывает размещение заказов на TSMC на чипы по техпроцессу N2, ссылаясь на высокую стоимость пластин, связанную как с первенством в области этой технологии, так и недостаточно высоким процентом выхода годных (по слухам - 60%).
В сложившейся ситуации и другие участники рынка с большим интересом рассматривают Samsung с его техпроцессом 2нм, как возможность, как минимум диверсифицировать цепочку поставок. В частности, как сообщается, Nvidia и Qualcomm проводят соответствующие испытания.
Кроме TSMC и Samsung, массовое производство с использованием техпроцесса 2нм стремится запустить японская Rapidus.
Производственные мощности 2нм у TSMC на сегодня ограничены 10 тысячами пластин в месяц. Компания планирует нарастить производство до 80 тысяч пластин в месяц, но это случится ближе к концу 2025 года.
Для Samsung колебания крупных заказчиков в отношении размещения заказов на TSMC – это потенциал получения крупных заказов, крайне необходимых компании. Samsung уже получает заказы на свой техпроцесс 2нм, но пока что это уровень таких компаний как Ambarella или Preferred Networks (PFN). Для Samsung принципиально важно получить заказы более крупных покупателей, как Apple, Nvidia или Qualcomm – без этого производственные мощности не загрузить и не отбить вложений в разработку технологии и закупку оборудования ASML.
Для крупнейших заказчиков наличие альтернативы в виде 2нм Samsung – важный фактор их торга с TSMC, как минимум, возможность получить (или не получить) скидки у тайваньцев.
У Samsung со времен 4нм репутация «подмочена», чипы Qualcomm, выращенные на Samsung на основе южнокорейского техпроцесса 4нм перегревались. Из-за этого компании не удалость заманить на свой техпроцесс 3нм ни одного крупного клиента.
Успех (или неуспех) с поиском заказчиков на техпроцесс 2нм носит для компании принципиально важное значение.
В целом для Samsung текущая ситуация выглядит весьма непростой, прежде всего, из-за существенного падения цен на глобальном рынке на чипы DRAM и NAND – «спасибо» китайским производителям и общему спаду на рынке производства электроники. Чипы HBM3E все еще не получили сертификацию Nvidia. Если еще и 2нм техпроцесс постигнет судьба 3нм, дела у Samsung Foundry пойдут совсем плохо.
@RUSmicro по материалам SamMobile
#2нм
Nvidia и Qualcomm поглядывают на Samsung с его техпроцессом 2нм
Еще совсем недавно ожидалось, что Apple станет первой компанией, которая выпустит процессоры с использованием техпроцесса 2нм. Но, как мы с вами знаем, компания откладывает размещение заказов на TSMC на чипы по техпроцессу N2, ссылаясь на высокую стоимость пластин, связанную как с первенством в области этой технологии, так и недостаточно высоким процентом выхода годных (по слухам - 60%).
В сложившейся ситуации и другие участники рынка с большим интересом рассматривают Samsung с его техпроцессом 2нм, как возможность, как минимум диверсифицировать цепочку поставок. В частности, как сообщается, Nvidia и Qualcomm проводят соответствующие испытания.
Кроме TSMC и Samsung, массовое производство с использованием техпроцесса 2нм стремится запустить японская Rapidus.
Производственные мощности 2нм у TSMC на сегодня ограничены 10 тысячами пластин в месяц. Компания планирует нарастить производство до 80 тысяч пластин в месяц, но это случится ближе к концу 2025 года.
Для Samsung колебания крупных заказчиков в отношении размещения заказов на TSMC – это потенциал получения крупных заказов, крайне необходимых компании. Samsung уже получает заказы на свой техпроцесс 2нм, но пока что это уровень таких компаний как Ambarella или Preferred Networks (PFN). Для Samsung принципиально важно получить заказы более крупных покупателей, как Apple, Nvidia или Qualcomm – без этого производственные мощности не загрузить и не отбить вложений в разработку технологии и закупку оборудования ASML.
Для крупнейших заказчиков наличие альтернативы в виде 2нм Samsung – важный фактор их торга с TSMC, как минимум, возможность получить (или не получить) скидки у тайваньцев.
У Samsung со времен 4нм репутация «подмочена», чипы Qualcomm, выращенные на Samsung на основе южнокорейского техпроцесса 4нм перегревались. Из-за этого компании не удалость заманить на свой техпроцесс 3нм ни одного крупного клиента.
Успех (или неуспех) с поиском заказчиков на техпроцесс 2нм носит для компании принципиально важное значение.
В целом для Samsung текущая ситуация выглядит весьма непростой, прежде всего, из-за существенного падения цен на глобальном рынке на чипы DRAM и NAND – «спасибо» китайским производителям и общему спаду на рынке производства электроники. Чипы HBM3E все еще не получили сертификацию Nvidia. Если еще и 2нм техпроцесс постигнет судьба 3нм, дела у Samsung Foundry пойдут совсем плохо.
@RUSmicro по материалам SamMobile
#2нм
SamMobile
As TSMC gets costly, Nvidia and Qualcomm look at Samsung for 2nm chips
To diversify their chip supply chain, Nvidia and Qualcomm are interested in getting their chips made using Samsung Foundry's 2nm process.
🇺🇸 Передовые производства. 2нм. США
США договорились о производстве на своей территории по техпроцессу 2нм и с Samsung
Мы уж знаем, что TSMC обещает США начать массовое производство чипов в Аризоне по техпроцессу N2 к 2028 году. Звучит неплохо для американцев, но ожидается, что к этому времени американские технологические компании смогут производить передовые чипы на Тайване с использованием техпроцесса 1.4 нм. А производство по технологии 2нм на Тайване начнется во второй половине 2025 года.
TSMC будет не единственным контрактным производством в США, которое обещает наладить производство чипов 2нм.
В планах Samsung – построить современный завод по производству чипов в Тейлоре, штат Техас. США поддержит этот проект – компании обещано $4.74 млрд в виде господдержки. Производство на заводе в Тейлоре Samsung Foundry надеется начать в 2026 году. Как ожидается, здесь будет налажено производство по техпроцессам 3нм и 2нм. Необходимое оборудование, как ожидается, поступит на это предприятие в начале 2026 года.
Похоже, в США без производства чипов по техпроцессам 3нм и 2нм на собственной территории не останутся, пусть это и будут «зарубежные производства».
@RUSmicro по материалам PhoneArena
#2нм #производственныемощности
США договорились о производстве на своей территории по техпроцессу 2нм и с Samsung
Мы уж знаем, что TSMC обещает США начать массовое производство чипов в Аризоне по техпроцессу N2 к 2028 году. Звучит неплохо для американцев, но ожидается, что к этому времени американские технологические компании смогут производить передовые чипы на Тайване с использованием техпроцесса 1.4 нм. А производство по технологии 2нм на Тайване начнется во второй половине 2025 года.
TSMC будет не единственным контрактным производством в США, которое обещает наладить производство чипов 2нм.
В планах Samsung – построить современный завод по производству чипов в Тейлоре, штат Техас. США поддержит этот проект – компании обещано $4.74 млрд в виде господдержки. Производство на заводе в Тейлоре Samsung Foundry надеется начать в 2026 году. Как ожидается, здесь будет налажено производство по техпроцессам 3нм и 2нм. Необходимое оборудование, как ожидается, поступит на это предприятие в начале 2026 года.
Похоже, в США без производства чипов по техпроцессам 3нм и 2нм на собственной территории не останутся, пусть это и будут «зарубежные производства».
@RUSmicro по материалам PhoneArena
#2нм #производственныемощности
PhoneArena
Samsung Foundry, like TSMC, plans to build 2nm chips in the U.S.
Samsung Foundry plans on building 3nm and 2nm chips inside a fab it is building in Tyler, Texas.
🇹🇼 Проектирование микросхем. EDA / САПР. ИИ. Тайвань
MediaTek внедряет Cadence Virtuoso Studio и Spectre X Simulation на основе ИИ на платформе Nvidia для разработок под 2нм
Cadence объявила, что MediaTek внедряет решения автоматизированного проектирования на основе ИИ на платформе ускоренных вычислений для разработок под техпроцесс 2нм.
Поскольку сложность разработки продолжает расти, разработка передовых узлов становится все более сложной задачей для поставщиков SoC. Чтобы соответствовать агрессивным требованиям к производительности изделий и времени на цикл разработки, MediaTek использует решения Cadence для проектирования, усиленные поддержкой ИИ, рассчитывая поднять производительность на 30%.
Внедрив Virtuoso ADE Suite, MediaTek интегрировала собственный алгоритм оптимизации на базе ИИ в свой будущий процесс разработки продукта, что повысило эффективность проектирования схем дизайнерами.
Spectre X Simulation, работающий на графических процессорах Nvidia H100, обеспечивает ту же точность, что и Spectre X, работающий на центральных процессорах, при этом обеспечивая повышение производительности моделирования 6х после компоновки и экстракции паразитных элементов при работе с передовыми узлами.
Команда по аналоговой компоновке MediaTek также внедрила маршрутизатор уровня устройства Virtuoso Layout Suite для пользовательских цифровых блоков 2нм, что заметно повысило производительность процесса компоновки.
MediaTek использует ИИ и открытую платформу Virtuoso для настройки размещения прототипа и потока прогнозирования с низким энергопотреблением, что обеспечивает повышение производительности проектирования еще на 30%.
@RUSmicro по материалам TechPowerUp
#EDA #САПР #ИИ #2нм
MediaTek внедряет Cadence Virtuoso Studio и Spectre X Simulation на основе ИИ на платформе Nvidia для разработок под 2нм
Cadence объявила, что MediaTek внедряет решения автоматизированного проектирования на основе ИИ на платформе ускоренных вычислений для разработок под техпроцесс 2нм.
Поскольку сложность разработки продолжает расти, разработка передовых узлов становится все более сложной задачей для поставщиков SoC. Чтобы соответствовать агрессивным требованиям к производительности изделий и времени на цикл разработки, MediaTek использует решения Cadence для проектирования, усиленные поддержкой ИИ, рассчитывая поднять производительность на 30%.
Внедрив Virtuoso ADE Suite, MediaTek интегрировала собственный алгоритм оптимизации на базе ИИ в свой будущий процесс разработки продукта, что повысило эффективность проектирования схем дизайнерами.
Spectre X Simulation, работающий на графических процессорах Nvidia H100, обеспечивает ту же точность, что и Spectre X, работающий на центральных процессорах, при этом обеспечивая повышение производительности моделирования 6х после компоновки и экстракции паразитных элементов при работе с передовыми узлами.
Команда по аналоговой компоновке MediaTek также внедрила маршрутизатор уровня устройства Virtuoso Layout Suite для пользовательских цифровых блоков 2нм, что заметно повысило производительность процесса компоновки.
MediaTek использует ИИ и открытую платформу Virtuoso для настройки размещения прототипа и потока прогнозирования с низким энергопотреблением, что обеспечивает повышение производительности проектирования еще на 30%.
@RUSmicro по материалам TechPowerUp
#EDA #САПР #ИИ #2нм
TechPowerUp
MediaTek Adopts AI-Driven Cadence Virtuoso Studio and Spectre Simulation on NVIDIA Accelerated Computing Platform for 2nm Designs
Cadence today announced that MediaTek has adopted the AI-driven Cadence Virtuoso Studio and Spectre X Simulator on the NVIDIA accelerated computing platform for its 2 nm development. As design size and complexity continue to escalate, advanced-node technology…
🇯🇵 Передовые техпроцессы. 2нм. Япония
Японская Rapidus установит 10 машин EUV
Rapidus планирует резко расширить производственные мощности в области передовых технологий. По данным Nikkan Kogyo Shimbun, компания собирается развернуть в общей сложности 10 машин для EUV-литографии.
Предполагается, что машины EUV будут установлены на первом производственном объекте Rapidus, IIM-1, который сейчас сооружается, и на втором, IIM-2.
Первый из двух заводов, работающих по технологии 2нм, как ожидается, выйдет на массовое производство в 2027 году. Пробное производство по техпроцессу 2нм компания начнет, как ожидается, в апреле 2025 года, образцы будут отгружены американской Broadcom к июню 2025.
@RUSmicro по материалам TrendForce
#2нм
Японская Rapidus установит 10 машин EUV
Rapidus планирует резко расширить производственные мощности в области передовых технологий. По данным Nikkan Kogyo Shimbun, компания собирается развернуть в общей сложности 10 машин для EUV-литографии.
Предполагается, что машины EUV будут установлены на первом производственном объекте Rapidus, IIM-1, который сейчас сооружается, и на втором, IIM-2.
Первый из двух заводов, работающих по технологии 2нм, как ожидается, выйдет на массовое производство в 2027 году. Пробное производство по техпроцессу 2нм компания начнет, как ожидается, в апреле 2025 года, образцы будут отгружены американской Broadcom к июню 2025.
@RUSmicro по материалам TrendForce
#2нм
[News] Rapidus Reportedly to Install 10 EUV Machines, Aiming for Early Mass Production | TrendForce News
While foundry giant TSMC plans to raise its 2025 capex to USD 38-42 billion, marking an up to 40% year-on-year increase, Japan’s Rapidus is also makin...